Compare commits

...

8 Commits

Author SHA1 Message Date
Tobias Madl
c70c989e01
Merge 73cc0ef8d5 into af55dff1ff 2024-05-20 00:40:31 +02:00
Tim 'mithro' Ansell
af55dff1ff Merge pull request #828 from antmicro/fix-docs
docs: install and load sphinxcontrib.jquery and add build section to
readthedocs.yaml
2024-03-17 14:25:23 -05:00
Tim 'mithro' Ansell
a23c3e7439
Merge pull request #826 from mithro/master
Add the `build` definition to `.readthedocs.yml`.
2024-03-17 14:22:14 -05:00
Karol Gugala
8e9aa0a6df docs: install and load sphinxcontrib.jquery
As per: https://github.com/readthedocs/sphinx_rtd_theme/issues/1452

Signed-off-by: Karol Gugala <kgugala@antmicro.com>
2024-03-17 20:02:56 +01:00
Tim 'mithro' Ansell
9161e0d4d2 Add the build definition to .readthedocs.yml.
Fixes https://github.com/im-tomu/fomu-workshop/issues/825.

Signed-off-by: Tim 'mithro' Ansell <me@mith.ro>
2024-03-17 13:35:09 -05:00
Tim 'mithro' Ansell
6cac9edd73
Merge pull request #824 from mithro/master
Remove Python version restriction.
2024-03-17 13:10:14 -05:00
Tim 'mithro' Ansell
c64c430220 Remove Python version restriction.
Signed-off-by: Tim 'mithro' Ansell <me@mith.ro>
2024-03-17 12:59:31 -05:00
explo1t
73cc0ef8d5 Implement SB_MAC16 DSP 2022-01-23 04:16:56 +01:00
5 changed files with 69 additions and 1 deletions

View File

@ -5,6 +5,12 @@
# Required
version: 2
build:
os: ubuntu-lts-latest
tools:
# Need to use mambaforge as miniconda runs out of memory on rtd.
python: mambaforge-latest
# Build documentation in the docs/ directory with Sphinx
sphinx:
configuration: docs/conf.py

View File

@ -38,6 +38,7 @@ author = 'Tomu Project Authors'
# ones.
extensions = [
# 'sphinx.ext.intersphinx',
'sphinxcontrib.jquery',
'sphinx.ext.todo',
'sphinx.ext.githubpages',
'sphinx.ext.extlinks',

View File

@ -4,7 +4,7 @@ channels:
- conda-forge
- defaults
dependencies:
- python=3.7
- python
- pip
- pycairo
- pango

View File

@ -1,5 +1,6 @@
sphinx>=4.5.0
sphinx-autobuild
sphinxcontrib-jquery
# Better looking Sphinx theme
# sphinx_materialdesign_theme

View File

@ -29,4 +29,64 @@ component SB_RGBA_DRV
);
end component;
-- SB_MAC16 DSP. Default values according to datasheet
component SB_MAC16
generic (
NEG_TRIGGER : integer := 0;
A_REG : integer := 0;
B_REG : integer := 0;
C_REG : integer := 0;
D_REG : integer := 0;
TOP_8x8_MULT_REG : integer := 0;
BOT_8x8_MULT_REG : integer := 0;
PIPELINE_16x16_MULT_REG1 : integer := 0;
PIPELINE_16x16_MULT_REG2 : integer := 0;
TOPOUTPUT_SELECT : integer := 0;
TOPADDSUB_LOWERINPUT : integer := 0;
TOPADDSUB_UPPERINPUT : integer:= 0;
TOPADDSUB_CARRYSELECT : integer := 0;
BOTOUTPUT_SELECT : integer := 0;
BOTADDSUB_LOWERINPUT : integer := 0;
BOTADDSUB_UPPERINPUT : integer := 0;
BOTADDSUB_CARRYSELECT : integer := 0;
MODE_8x8 : integer := 0;
A_SIGNED : integer := 0;
B_SIGNED : integer := 0
);
port (
CLK: in std_logic;
CE: in std_logic := '1';
A: in std_logic_vector(15 downto 0) := (others => '0');
B: in std_logic_vector(15 downto 0) := (others => '0');
C: in std_logic_vector(15 downto 0) := (others => '0');
D: in std_logic_vector(15 downto 0) := (others => '0');
AHOLD : in std_logic := '0';
BHOLD : in std_logic := '0';
CHOLD : in std_logic := '0';
DHOLD : in std_logic := '0';
IRSTTOP : in std_logic := '0';
ORSTTOP : in std_logic := '0';
OLOADTOP : in std_logic := '0';
ADDSUBTOP : in std_logic := '0';
OHOLDTOP : in std_logic := '0';
IRSTBOT : in std_logic := '0';
ORSTBOT : in std_logic := '0';
OLOADBOT : in std_logic := '0';
ADDSUBBOT : in std_logic := '0';
OHOLDBOT : in std_logic := '0';
O: out std_logic_vector(31 downto 0);
CI : in std_logic := '0';
CO : out std_logic
);
end component;
end components;