fomu-workshop/verilog/blink
2020-11-24 20:44:44 +01:00
..
.gitignore Fix verilog examples 2020-01-11 17:14:15 +01:00
blink.v Fix verilog examples 2020-01-11 17:14:15 +01:00
Makefile board.mk: split PCF from PNRFLAGS 2020-11-08 02:16:54 +01:00
README.md verilog/blink: it works on Windows 2020-11-24 20:44:44 +01:00

Minimal Verilog Example

A minimal Verilog example which simply blinks the RGB LEDs at different frequencies.

Using

Type make to build the DFU image. Type make load to load the DFU image onto the Fomu board. Type make clean to remove all the generated files.